Техпроцесс (нм, мкм) — технология производства транзисторов, чипов и полупроводниковых элементов. Что даёт более тонкий техпроцесс? Что такое техпроцесс в процессоре Что такое техпроцесс в телефоне

23.05.2018, Ср, 15:10, Мск , Текст: Владимир Бахур

TSMC приступила к массовому производству новых мобильных процессоров Apple A12 с нормами 7 нм. Новые чипы для смартфонов Apple, которые появятся в конце 2018 года, будут более экономичными по сравнению с процессорами A11 в нынешних iPhone.

Рекордные нанометры

Тайваньская компания Taiwan Semiconductor Manufacturing Co. (TSMC), крупнейший в мире контрактный производитель полупроводников и постоянный OEM-партнер Apple, начала массовое производство следующего поколения мобильных процессоров для смартфонов iPhone, анонс которых ожидается во втором полугодии 2018 г. Об этом сообщил деловой портал Bloomberg со ссылкой на собственные индустриальные источники, настоявшие на анонимности.

Особенностью новых мобильных процессоров Apple, которые с высокой вероятностью получат рыночное название A12, является применение при их производстве новейшего технологического процесса с нормами 7 нм.

Переход на новые нормы техпроцесса по традиции обеспечит выпуск более компактных, быстрых (до 20%) и энергоэффективных (до 40%) чипов, нежели нынешнее поколение процессоров Apple A11 (Bionic) для смартфонов iPhone 8 и iPhone X, при производстве которых задействован техпроцесс TFTC FinFET и линии с нормами 10 нм.

Официальные представители Apple и TSMC по традиции отказались комментировать продукты, не представленные официально, сообщил Bloomberg.

Обгоняя соперников на 3 нанометра

Впервые полной готовности к началу массового производства микросхем с использованием норм 7 нм компания TSMC объявила еще в апреле. Однако при этом компания традиционно отказалась назвать партнера, который смог первым разместить заказ на процессоры с рекордным техпроцессом.

Apple первой получит у TSMC процессоры 7 нм для новых iPhone

Apple безусловно станет одним из первых брендов, который предложит потребителям смартфоны на самом прецизионном техпроцессе, отмечают в Bloomberg, однако вряд ли единственным. Крупнейший соперник Apple на рынке мобильных гаджетов, южнокорейская Samsung Electronics, выступила 22 мая 2018 г. с официальным заявлением о том, что начнет выпуск собственных мобильных процессоров с нормами 7 нм до конца 2018 г.

По мнению специалистов, отмечают в Bloomberg, используемое TSMC при производстве чипов с нормами 7 нм техпроцесса FinFET и многослойной компоновки InFO отличается большей эффективностью, нежели технология Samsung.

В свою очередь, в отличие от «безфабричной» (Fabless) бизнес-модели Apple, Samsung самостоятельно производит чипы для части своих гаджетов (плюс закупки чипов Snapdragon у Qualcomm), что позволяет ей более гибко настраивать и использовать производственные линии. Помимо этого, корейская компания также является крупным OEM-игроком на мировом рынке контрактного производства полупроводников.

Apple всеми силами пытается опередить своего крупнейшего конкурента на рынке мобильных чипов, компанию Qualcomm, которая также работает по fabless-модели, отмечают в Bloomberg.

Еще одним заметным игроком на этом рынке, по данным Bloomberg, является Huawei Technologies, которая самостоятельно разрабатывает дизайн собственного семейства мобильных процессоров Huawei и размещает заказы на их производство у TSMC.

Перспективы на второе полугодие

По предварительным данным, этой осенью Apple планирует представить не менее трех новых моделей iPhone, в том числе, версию iPhone X с более крупными габаритами и менее дорогую версию iPhone X с более дешевым ЖК-дисплеем.

По данным источников Bloomberg, новые чипы Apple A12 будут использоваться во всех без исключения моделях смартфонов Apple образца 2018 года, в том числе, 6,1-дюймовый iPhone с «бюджетным» ЖК-экраном.

В свою очередь, TSMC на волне спроса на свою продукцию планирует инвестировать до конца 2018 г. более $10 млрд в расширение собственного ведущего производственного комплекса в окрестностях города Синьчжу (Hsinchu), который включает, в том числе, R&D центр по разработке технологий производства новых поколений.

Корпорация Intel подтвердила задержку массового производства центральных процессоров с применением 10-нм технологического процесса до второй половины 2017 года. Производитель микросхем утверждает, что в связи с трудностями в освоении новых норм производства ей придётся растянуть жизненный цикл 14-нм техпроцесса для CPU ещё на год. Таким образом, в следующем году Intel представит процессоры Kaby Lake, а Cannonlake выйдут только в 2017-м. Руководство Intel признало, что вследствие усложнения производства микросхем знаменитый закон Мура может подвергнуться трансформации. Тем не менее, в отличие от конкурентов, Intel не планирует упрощать характеристики новой технологии производства, чтобы ускорить её выход на рынок. В корпорации уверены, что её 10-нм технологический процесс станет лучшим в индустрии.

Цикличность закона Мура увеличивается

Когда Гордон Мур (Gordon Moore) впервые сделал своё наблюдение об удвоении числа транзисторов в интегральных схемах в 1965 году, он отметил, что их количество увеличивается в два раза каждые 12 месяцев. В 1975 году он пересмотрел своё наблюдение и сделал прогноз, что число транзисторов в микросхемах будет удваиваться каждые два года. В последние несколько лет производственные технологии и интегральные схемы стали настолько сложными, что это привело к удлинению циклов перехода от одного техпроцесса на другой. Как следствие, количество транзисторов в чипах теперь удваивается каждые два с половиной года или реже. В результате корпорация Intel по факту вынуждена производить не два, а три семейства микропроцессоров, используя одну и ту же технологию.

«Последние два перехода на новые технологии показали, что длина цикла сегодня составляет около двух с половиной лет», — сказал Брайан Кржанич (Brian Krzanich), исполнительный директор Intel, в ходе ежеквартальной телеконференции компании с инвесторами и финансовыми аналитиками. « В соответствии с этим, во второй половине 2016 года мы планируем представить Kaby Lake, третье поколение наших 14-нм продуктов, которые будут базироваться на фундаменте архитектуры Skylake, но иметь ключевые улучшения в производительности . Мы ожидаем, что это нововведение в нашем перспективном плане представит новые возможности и увеличит скорость вычислений, одновременно проложив путь для плавного перехода на 10 нм».

Не все техпроцессы одинаковы

Intel намерена начать производство своих микросхем под кодовым названием Cannonlake с использованием 10-нм технологии производства лишь во второй половине 2017-го. Судя по сообщениям неофициальных источников, корпорация Samsung планирует начать массовое производство микросхем по технологии 10 нм уже в 2016 году. Таким образом, Samsung может опередить Intel в области освоения передовых техпроцессов.

Теоретически, отставание может представлять проблему для Intel, поскольку более тонкие нормы производства означают возможность снизить энергопотребление и увеличить производительность. Хотя процессоры Intel не конкурируют напрямую с процессорами Apple A и Samsung Exynos (именно их Samsung производит с использованием передовых технологий), устройства на базе Intel конкурируют с таковыми на базе указанных чипов. Как следствие, при увеличении популярности подобных устройств уменьшится популярность электроники на основе продукции Intel.

Тем не менее, стоит понимать, что 10 нм — это лишь наименование технологического процесса, указывающее на одну из его характеристик. Все производственные процессы Intel, как правило, превосходят аналогичные технологии других производителей полупроводников. Так, 14-нм и 16-нм FinFET технологии Samsung, GlobalFoundries и TSMC хоть и используют уменьшенные транзисторы, базируются на межблочных соединениях от 20-нм техпроцессов. Таким образом, размер микросхем, произведённых по технологиям 14LPE и CLN16FF, не отличается от тех, что изготовлялись с использованием менее совершенных процессов, что не даёт возможности серьёзно увеличить их транзисторный бюджет по сравнению с предшественниками.

По сравнению с технологиями изготовления микросхем других производителей полупроводников, новые техпроцессы Intel всегда и по всем характеристикам превосходят своих предшественников. Так, 14-нм техпроцесс Intel не только увеличивает частотный потенциал и уменьшает энергопотребление, но и увеличивает плотность транзисторов, что даёт возможности интегрировать в микросхемы больше функциональных блоков.

Intel: Мы останемся лидерами в индустрии полупроводников!

Исполнительный директор Intel подчеркнул, что компания не пойдёт на использование разного рода ухищрений, чтобы формально продекларировать переход на техпроцесс 10 нм. Новая технология изготовления уменьшит размеры как транзисторов, так и межблочных соединений, что максимизирует плотность элементов, уменьшив стоимость микросхем в пересчёте на транзистор.

«Мы считаем, если вы посмотрите на масштабирование [ 10- нм техпроцесса в сравнении с 14-нм], то оно будет достаточно серьёзным по сравнению с типичным при переходе от одного к процесса к другому», — сказал господин Кржанич. «Я не дам вам точные цифры сейчас. Но мы считаем, что если объединить все [инновации, связанные с 10-нм технологией] вместе, наша лидирующая позиция [в индустрии] не изменится, даже в связи с отсрочкой [начала поставок микросхем]».

Глава Intel не стал раскрывать большого количества деталей о 10-нм технологическом процессе, а также точных причин задержки начала его применения. Тем не менее, он намекнул, что новая технология производства использует «улучшенные» транзисторы с вертикально расположенным затвором (FinFET), а также иммерсионную литографию с мультипаттернингом.

«Каждый [техпроцесс] имеет свой собственный рецепт сложностей и трудностей», — объяснил господин Кржанич. «Проблемы с переходом с 14 нм на 10 нм являются примерно тем же самым, что было с переходом с 22 нм на 14 нм. [Иммерсионная] фотолитография становится всё более сложной в использовании по мере уменьшения размеров элементов микросхем. Количество проходов при использовании мультипаттернинга увеличивается».

Intel: Мы выпустим миллионы Cannonlake в первый год

Не секрет, что процесс выхода на рынок микросхем Broadwell растянулся на много месяцев, а первоначальные объёмы выпуска Core M (Broadwell) по технологии 14 нм были мизерными. В Intel обещают, что дополнительный год поможет её инженерам отшлифовать 10-нм техпроцесс для быстрого запуска новых микросхем Cannonlake в по-настоящему массовое производство.

«Во второй половине 2017 года мы начнём производство первых 10-нм процессоров, известных по кодовому названию Cannonlake », — сказал господин Кржанич. «Когда мы говорим про вторую половину 2017 года, мы говорим о миллионах единиц и больших объемах».

Intel: Цикл «тик-так» ещё может вернуться

В Intel говорят, что хотя сейчас время использования одного технологического процесса для изготовления микропроцессоров растянулось до двух с половиной - трёх лет, компания постарается вернуться к своей модели «тик-так», цикл которой составляет около двух лет. Вполне возможно, что для возвращения «тик-так» потребуется переход на использование фотолитографии в глубоком ультрафиолете (extreme ultraviolet lithography, EUV). Если технологический процесс 10 нм будет использован три года, то к 2020 г. EUV-сканеры вполне могут стать экономически целесообразными для производства микросхем по техпроцессу 7 нм.

Следует отметить, что удлинение технологических циклов также означает и удлинение микроархитектурных циклов: теперь одна фундаментальная микроархитектура будет использоваться для трёх поколений процессоров в течение трёх лет. Каким образом Intel планирует увеличивать производительность в каждом поколении и насколько значительным будет увеличение скорости процессоров каждый год, покажет только время.

Корпорация IBM создала технологию производства чипов с топологией 5 нанометров. Предыдущий минимум, 7 нм, был анонсирован два года назад. В производстве сейчас используется и топология 10 нм, но эта технология еще не слишком распространена. По 10 нм технологии, в частности, изготавливаются чипы Snapdragon 835, которые установлены в Samsung Galaxy S8.

По мнению специалистов, 5 нм чипы смогут значительно снизить энергопотребление устройств, поскольку такие процессоры потребляют на 75% меньше энергии, а вот производительность их на 40% выше, чем у большинства текущих мобильных чипов, изготовленных по 14 нм техпроцессу. Таким образом, в автономном режиме новые устройства смогут работать в 2-3 раза дольше, чем сейчас.

5 нм технология - плод совместных трудов IBM с компаниями Samsung и GlobalFoundries. Дело в том, что корпорация сейчас сама не производит чипы, а GlobalFoundries и Samsung могут лицензировать технологию. По мнению представителей всех компаний-партнеров, в массовое производство технология отправится к 2020 году, тогда же на рынке и начнут появляться новые чипы. В электронике пока что превалируют 14 нм чипы, выпуск которых начался 2-3 года назад.

Разработчики приняли решение использовать новый тип транзисторов, которые объединяются в кремниевые нанолисты. Электроны при этом посылаются через четыре затвора. Наиболее совершенные на данный момент транзисторы типа FinFET, которые массово используются на современном рынке, используют три затвора. Скорее всего, технология FinFET останется и в 7-нм чипах, хотя со временем она уйдет, поскольку ее нельзя масштабировать геометрически. Об этом заявил вице-президент по исследованиям полупроводниковых технологий IBM Research Мукеш Харе (Mukesh Khare).

«Выход за пределы 7 нм - это очень важно. Это важно и в конструктивном плане, и в том, что сейчас возможно собрать вместе все больше транзисторов. Так что мы можем говорить о 5 нм процессе», - заявил Харе. Чем выше плотность размещения транзисторов в микросхеме, тем выше скорость прохождения сигналов между ними, соответственно, тем выше и скорость выполнения различных задач таким чипом.


Для производства новинки, скорее всего, будет использоваться технология фотолитографии в глубоком ультрафиолете (extreme ultraviolet lithography, EUV). Эта же технология использовалась для создания тестовых 7 нм чипов. Ширина нанолистов в случае использования этого метода может регулироваться. А точная настройка очень важна при производстве чипов. Этого нельзя достичь, используя FinFET.

Новое достижение чрезвычайно важно как для общества, так и для бизнеса. «Для бизнеса и сообщества важны когнитивные и облачные вычисления, которые будут совершенствоваться с появлением новых достижений в полупроводниковых технологиях», - заявил Арвинд Кришна, руководитель IBM Research. Он говорит, что именно поэтому IBM активно продвигает новые типы архитектур и материалы, которые раздвигают границы возможностей производства.

Добрый день, уважаемые любители компьютерного железа. Сегодня мы поговорим о том, что такое техпроцесс в процессоре. На что влияет данная величина, как помогает при работе компьютера, за что отвечает и так далее.

Начать хотелось бы с того, что процессоры состоят из транзисторов. Под крышкой теплораспределителя находится сам кристалл ЦП на кремниевой подложке, в состав которого входит миллиарды миниатюрных транзисторов. О внутренностях CPU – .

Их габариты настолько крошечные, что измеряются в нанометрах. Отсюда и берет свое начало величина.

Возьмем к примеру компанию AMD и ее процессорные ядра семейства Bulldozer и Liano, выполненные по нормам 32 нм. На площади кристалла размером всего 315 мм2 размещено 1,2 млрд транзисторов. Если сравнивать с более старой технологией 45 нм, в которой на подложке 346 мм2 находилось «только» 900 млн транзисторов – прогресс очевиден.

Уменьшение, а точнее оптимизация техпроцесса дает следующие преимущества:

  • повышение итоговой производительности при идентичных характеристиках двух устройств (первый и второй процессор имеют, к примеру, 4 ядра мощностью 3 ГГц);
  • снижение энергопотребления;
  • возможность добавить дополнительные рабочие инструкции;
  • повышение частот;
  • увеличение количества ядер на одной подложке (они занимают меньше места);
  • снижение затрат на изготовление чипов (на одной кремниевой болванке помещается больше процессоров).
  • Увеличение кэш-памяти процессора (больше места на кристалле для установки модуля)

Эволюция техпроцесса

Если покопаться в истории полупроводников 70‑х и 80‑х годов, то можно встретить устройства, разработанные по нормам техпроцесса 3 мкм. К такому технологическому прорыву впервые пришли компании Zilog в 1975 году и Intel в 1979 году соответственно.

Компании активно развивали технологии и совершенствовали литографическое оборудование.В начале-середине 90‑х, прогресс достиг новых высот и на рынке стали появляться модели вроде Intel Pentium Pro и MMX, а также знаменитая «улитка» Pentium II.

Все изделия выполнялись по нормам процесса 0,35 мкм, т.е. 350 нм. Буквально через 10 лет технологии позволили сократить размер транзистора втрое, до 130 нм, и это был прорыв.Однако культовый период пришелся на 2004 год, когда инженеры начали осваивать для себя 65 нм. Тогда мир увидел знаменитые Pentium 4, Core 2 Duo, а также AMD Phenom X4 и Turion 64 x2. В это же время рынок наводнили чипы Falcon и Jasper для Xbox 360.

Текущий период разработки

Плавно подбираемся к современным разработкам и начнем со все еще актуального процесса 32 нм – эпоха Intel Sandy Bridge и AMD Bulldozer.

Синему лагерю удалось создать кристалл с частотой до 3,5 ГГц, на который можно поместить до 4 ядер и графический чип частотой до 1,35 ГГц. Также в чип встроили , PCI‑E контроллер версии 2.0, поддержку памяти DDR3. Все ядра получили по 256 КБ кэша L2 и до 8 МБ L3. И все это размещалось на подложке 216 мм2

Красные же умудрились разместить на подложке до 16 процессорных ядер частотой до 4 ГГц с поддержкой передовых на 2011 год инструкций x86, ввести поддержку Hyper Transport и оснастить чипы поддержкой DDR3.

Переход на 22 нм осуществил только Intel, добавив своим продуктам Ivy Bridge и Haswell вроде Core i5, i7 и Xeon более высокую производительность при сниженном энергопотреблении. Архитектура не претерпела значительных изменений.
Литография 14 нм подарила миру в 2017 году новый виток противостояния между AMD Ryzen и Intel Coffee Lake. В первом случае имеем совершенно новую архитектуру и признание во всем мире после многолетнего застоя. Во втором же – увеличение ядер на подложке в десктопном сегменте.

Дополнительно можно отметить снижение энергопотребления, добавление новых инструкций, снижение размера кремниевой пластины и повышение мощности в станах двух лагерей.Теперь ждем выход чипов, построенных по нормам 10 нм, который на данный момент доступен лишь в мобильном сегменте (Quallcomm Snapdragon 835/845, Apple A11 Bionic).

Зачем уменьшать техпроцесс?

Как я уже говорил выше, оптимизация литографии ведет к размещению большего числа транзисторов на подложке меньшего размера. Говоря простым языком, на одной площади можно расположить не 1, а 1,5 млрд транзисторов, что ведет к повышению производительности без увеличения тепловыделения.

Таким образом устанавливается больше ядер, вспомогательных компонентов и систем управления шинами.

Коэффициент умножения системной шины процессора также возрастает, а значит и его мощь растет.

На данный момент оптимальными процессорами, которые вобрали в себя самое лучшее из современных технологий, можно назвать Intel 8700k и AMD Ryzen 1800x. Есть конечно и более новый вариант от «красных» в лице Ryzen 2700 (12 нм), но его производительность немного скромнее.
Надеемся, вы поняли суть, которую я хотели донести до вас в этой статье. В следующих обзорах мы коснемся таких понятий как , охлаждение и прочих животрепещущих вопросов, которые требуют пояснения. Оставайтесь с нами и публикациями. Удачи!

Представившая два года назад 7-нм чипы IBM этим летом продемонстрировала следующую ступень эволюции устройства. 5-нм чип вмещает 30 млрд. транзисторов и будет обслуживать Интернет вещей и искусственный интеллект. По словам представителей IBM, разработка подтверждает так называемый закон Мура. В 1965 г. один из основателей Intel Гордон Мур предсказал, что количество транзисторов, размещаемых на кристалле интегральной схемы, будет удваиваться каждые 24 месяца. По мнению Мура, при сохранении этой тенденции мощность вычислительных устройств за относительно короткий промежуток времени может вырасти экспоненциально.

Однако все чаще раздаются опасения, что вскоре закон перестанет действовать. Указанием на это стало то, что Intel замедлила освоение новых техпроцессов. До 2016-го корпорация, планируя выпуск новых продуктов, придерживалась так называемой стратегии «тик-так». Впервые она была анонсирована на IDF 2006 и с тех пор стала основой операций компании в сфере производства x86-совместимых процессоров. При этом «тик» означает переход на новый техпроцесс и минорные изменения в архитектуре, а «так», напротив, подразумевает выпуск процессоров с новой архитектурой, но на базе имеющегося техпроцесса. Изначально было задумано, что каждая часть цикла должна была занимать примерно год, но по мере снижения размеров элемента в новых техпроцессах Intel столкнулась с рядом серьёзных трудностей.

14-нм техпроцесс удалось разработать и реализовать достаточно успешно: цикл «тик» пришёлся на архитектуру Broadwell, слегка усовершенствованную наследницу Haswell, но использующую более тонкие производственные нормы. Следующий цикл, «так», пришёлся на разработку и выпуск микроархитектуры Skylake, использующей тот же техпроцесс, что и Broadwell. Очевидно, что «тик-так» больше не работает как задумано: качание маятника от одного состояния к другому теперь занимает не год, а как минимум около полутора лет. Intel признала это официально и заявила о конце эры «тик-так», а также о продлении жизненного цикла 14-нм технологии.

Опасения по поводу продолжительности действия закона Мура имеются у MediaTek. Как сообщает тайваньское издание DigiTimes, с таким прогнозом выступил председатель правления компании Цзай Мингай. По его мнению, нынешние литографические технологии упрутся в физические пределы после ещё двух поколений. То есть, будут освоены 5- и 3-нм техпроцессы, а дальше у полупроводниковой отрасли возникнут проблемы. Как они будут решены, Мингай объяснять не стал. (Попутно он призвал разделять понятия «искусственный интеллект» и «машинный интеллект». Под первым Мингай подразумевает системы, которые решают знакомые человеку задачи. Машинный же интеллект призван решать проблемы, которые человеческому разуму не по силам.)

Тем временем к границам возможностей современных техпроцессов подбираются конкуренты MediaTek. Этим летом Samsung перешла с 14- на 10-нм техпроцесс. Например, чип Exynos 8895 в смартфонах Galaxy S8 производится уже по 10-нм техпроцессу. Как считает Samsung, в будущем гонка техпроцессов немного замедлится. Следующим этапом станет переход на 8 нм. В результате увеличатся плотность расположения транзисторов и производительность чипов. Но с нынешними технологиями Samsung не сможет достичь меньших размеров структур, поэтому 8-нм техпроцесс станет последним на текущих технологиях.

Следующий техпроцесс впервые будет опираться на глубокий ультрафиолет (EUV). Samsung начнет переход на EUV с 7-нм техпроцесса. В остальном будет использоваться нынешний техпроцесс FinFET, в том числе для 6- и 5-нм чипов. И только с 4-нм чипами техпроцесс будет полностью изменен. С данного поколения Samsung планирует перейти на Multi Bridge Channel FET. Даная технология способна преодолеть физические ограничения FinFET. В качестве основы будет использоваться наноматериал.

В сентябре о планах перехода на 7-нм технроцесс заявил крупнейший в мире контрактный производитель чипов TSMC. Правда пока речь идёт только о серверных процессорах. Как и Samsung, TSMC намерена использовать фотолитографию в глубоком ультрафиолете. В своём заявлении компания указала, что переход на 7-нм техпроцесс позволит сделать чипы на 70% мощнее, снизить энергопотребление на 60% и в то же время поднять тактовую частоту на 30%. Решения будут основаны на ARMv8.2 и архитектуре DynamIQ, ядра - объединены с помощью CMN-600. Кроме того, в них будут использованы контроллер памяти DDR4 и интерфейс PCI Express 3.0/4.0.